Mantis Bug Tracker

View Issue Details Jump to Notes ] Wiki ] Issue History ] Print ]
IDProjectCategoryView StatusDate SubmittedLast Update
0000144mSLDevFuture Suggestionspublic2013-04-16 10:522013-06-29 10:33
ReporterWims 
Assigned To 
PrioritynormalSeverityminorReproducibilityN/A
StatusnewResolutionopen 
PlatformOSOS Version
Product Version 
Target VersionFixed in Version 
Summary0000144: Another check for an usual error
DescriptionIt's something that happen often I think, sometimes you edit some if/else statements and you end up with the first part being an esleif directly, mIRC silently ignores that, but it would be great if msldev could warn us
Steps To Reproducealias msl {
elseif (dev) { }
}
or
alias msl {
else { yeah i'm quite blind }
}
TagsNo tags attached.
Beta mIRC version?
mIRC Version
Attached Files

- Relationships
has duplicate 0000172closedadministrator /elseif without /if 

-  Notes
There are no notes attached to this issue.

- Issue History
Date Modified Username Field Change
2013-04-16 10:52 Wims New Issue
2013-06-29 10:33 administrator Relationship added has duplicate 0000172


Copyright © 2000 - 2011 MantisBT Group
Powered by Mantis Bugtracker